Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1123 connectés 

  FORUM HardWare.fr
  Programmation
  Divers

  comment faire un tableau en VHDL ?

 


 Mot :   Pseudo :  
 
Bas de page
Auteur Sujet :

comment faire un tableau en VHDL ?

n°1704534
russell14
Posté le 19-03-2008 à 12:19:22  profilanswer
 

slt, je souhaite faire un tableau en VHDL. Pour cela, j'utilise :  
             type "Table_sortie" is array (1 to 5) of integer;
 variable "table_sortie" ou subtype .......
 
Il faut que je mette des valeurs d'en ce tableau et que je le récupére sur un fichier de sortie. J'arrive à lire et à écrire sur un autre fichier mais pas à écrire dans un tableau. Merci d'avance de m'aider.

mood
Publicité
Posté le 19-03-2008 à 12:19:22  profilanswer
 


Aller à :
Ajouter une réponse
  FORUM HardWare.fr
  Programmation
  Divers

  comment faire un tableau en VHDL ?

 

Sujets relatifs
Word et tableau dynamiqueVHDL
Multiplieur de fréquence en VHDLVHDL
[JS] Cacher les lignes d'un tableautableau et couleur
Tableau à dimension variableProbléme de copie de tableau et d'affichage
comment passer une ligne csv en tableau a deux dimensons ? en AWK ?Modifier valeur champ tableau
Plus de sujets relatifs à : comment faire un tableau en VHDL ?


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR